[Boards: 3 / a / aco / adv / an / asp / b / bant / biz / c / can / cgl / ck / cm / co / cock / d / diy / e / fa / fap / fit / fitlit / g / gd / gif / h / hc / his / hm / hr / i / ic / int / jp / k / lgbt / lit / m / mlp / mlpol / mo / mtv / mu / n / news / o / out / outsoc / p / po / pol / qa / qst / r / r9k / s / s4s / sci / soc / sp / spa / t / tg / toy / trash / trv / tv / u / v / vg / vint / vip / vp / vr / w / wg / wsg / wsr / x / y ] [Search | Free Show | Home]

5 nm chips by 2020

This is a blue board which means that it's for everybody (Safe For Work content only). If you see any adult content, please report it.

Thread replies: 152
Thread images: 15

File: 5595.jpg (100KB, 660x371px) Image search: [Google]
5595.jpg
100KB, 660x371px
7 nm in 2018
5 nm in 2020

>http://www.digitimes.com/news/a20160115PD206.html

can't stop the progress train
>>
if that means no active cooling, then i am hyped
>>
>>52514891
Some exec at Samsung has been saying since 2009~ that they'd encounter no issue in scaling down to 5nm. Pretty positive outlook for the industry. The real important aspect to all of this is that the industry is expecting solid growth. IOT and emerging markets spells billions more in revenue for the industry. There'll be an IC in every light fixture before you know it.

>>52514922
If energy consumption doesn't fall directly in line with density then soaking up heat out of a die becomes much harder, self heating becomes more and more pronounced. It'll be a major area tackled by foundries in the next few years.
>>
>>52514891
whyy whyyy

shit i was hoping that 7nm was the last one for silicon. We have to wait another 2 years for some graphene shit.
>>
>>52515141
>graphene as a substrate
You've got an odd sense of humor.
Come back and talk to me in the early 2020s when 3nm vertical GAAs are the norm.
>>
>>52514891
>implying tsmc ever keeps up with what they say
>implying 10nm will even be out by 2020
>>
Man, I sure do miss the days when hardware pretty much doubled in speed every 6-8 months... sort of. It did make it impossible to invest in computer hardware, but it was interesting at least.
>>
>>52515141
Do you seriously think foundries lack motivation to make something better than silicon as long as silicon is still working?
>>
>>52514891
>same single-thread performance as 10 years ago
Can't stop something twice :^)
>>
File: ibm_power8_die.jpg (984KB, 1000x776px) Image search: [Google]
ibm_power8_die.jpg
984KB, 1000x776px
I am curious how cooling will work. Perhaps by that timeframe the graphene/carbon nanotubes will be manufacturable enough to be used in consumer chips. I thought that was the way they talked about drawing the heat out, anyway.
>>
what's the point of shrinking?

better performance?
less energy use?
less heat?
>>
>>52515841
To sell less silicon for more shekels.
>>
>>52515841
The last two yes.
>>
>>52515841
The last 2, and indirectly the first one. you can fit more transistors on a die when they're smaller.
>>
>>52515841
A smaller die lets you get more dies out of a wafer. It drives down costs.
The area scaling benefits also allow you to pack more transistors into a die which equates to performance or added functionality.
Smaller gates require less voltage to switch which can lower power consumption and heat generated
Depending on the libraries used, you can reach higher clocks with equal or less voltage
>>
>>52515314
Yes.

cant stop the money train
>>
>>52514891
TSMC, the company that brought us 4+ years of 28nm GPUs due to fucking up 20nm so bad and taking forever with 16nm, is promising 7nm in 2 years?

Please excuse me while I dial for an ambulance, my sides have terminally been lost.
>>
>>52515993
They didn't fuck anything up with their 20nm process, planar gates were just at their natural end of life, and they wanted to squeeze a bit more revenue out before doubling down on FinFET R&D.

There is a little thing called the short channel effect and if you want to understand anything at all about transistors this needs to be the singular thing you wrap your head around. A transistor is a little device sitting between two comparatively giant pillars known as the source and drain wells. The area between the wells which the transistor sits atop is the channel. The channel is the area of silicon in which electrons flow from source to drain. Where the gate comes in is altering the resistance of the channel to stop the flow of electrons. Thats your primer on transistors 101

Now the short channel effect:
a shorter channel is harder for a gate to control
the shorter a channel is the more leakage current there will be
when a channel becomes short enough the device is impossible to turn "off" and there will always be a relatively high level of leakage current
though drive current for the transistor is lowered, relative leakage current increasing can offset gained performance

The reason why FinFETs exist is to combat this. They actually grow the gate in length to increase its efficacy while the channel itself can continue shrinking. You can get all of the area scaling benefits without the increased leakage. In fact FinFETs control the channel so well that leakage can be reduced to less than 1% of what you'd get from a comparable planar device.

20nm planar nodes were literally never going to be used for large die high performance parts. In that neither TSMC nor Samsung fucked up anything. They were just squeezing a bit of life out of conventional processes for some ARM chips to vendors who paid top dollar for the highest binning.
>>
>>52514891
>7nm in 2 years? OK How's that 10nm going OH RIGHT
>5nm 2020 in 5 years That's not even moores law anymore, way slower but OK.
>>
>>52514891
but what happens when we hit 0nm? Will we start going for -nm boards?
>>
>>52516429
>0nm
Then we enter the quantum computing realm and spintronics.
>>
Samsung is an Asian company lying publicly to save face. Reminder Intel cancelled 10nm node
>Boardwell 14nm tick
>SkyLake 14nm tock
>Kaby Lake 14nm tock-electric-boogalo
>>
>>52516429
When conventional scaling has come to a definite end things like photonic gates and quantum junctions will be bleeding edge process tech.
Also worth stating that GAAs can operate in the realm of .1v~, and if si filler is added around the completed nanowire it has perfect heat dissipation out of the die. It lends them to 3D stacking. Area scaling wouldn't improve, but transistor counts would.
>>
>>52516148
thanks for the longwinded primer, pajeet, but I'm already familiar with the fundamentals of transistor geometries in modern lithographic processes.

no high performance version of a node, due to no FinFET yet or whatever reason = failure
>>
>>52516550
Gallium arsenide is abbreviated GaAs.
>>
>>52516582
Good thing that has literally nothing to do with what I'm talking about then.
>>
>>52516411
You really don't know what the Moore's law it's about, do you?
>>
File: 1452995735798.webm (2MB, 720x404px) Image search: [Google]
1452995735798.webm
2MB, 720x404px
terror attacks on semiconductor fabrication plants when?
>>
>>52516582
he's talking about Gate All Around, where the gate wraps the channel completely.

they've been made in labs, but the industrial processes for making them economically in bulk for ASICs is still a ways off
>>
File: trans.png (14KB, 531x260px) Image search: [Google]
trans.png
14KB, 531x260px
>>52516429
Transistor size is somewhat decoupled from the node label. Node labels are more so marketing terms nowadays
>>
>>52516722
that's putting it mildly.

I've read allegations that TSMC's 16nm node is little more than 20nm with FinFET gates, with virtually zero reduction in physical feature sizes.
>>
>>52516842
That isn't an allegation, and TSMC was up front about it. They call it their transitional FinFET process for a reason. Its their 20nm BEOL with a smaller FinFET FEOL.
>>
>>52516429
We move to pm.
>>
>>52516842
yes that is the case with 20nm->16nm, I should of been more clear with my post. Node labels do represent generational improvements, but are somewhat decoupled from scaling. Foundries have implemented various techniques to obtain power and thermal improvements without having to heavily rely on physical scaling.
>>
All this nutty talk about EUV and Gallium and we're still not using 300mm wafers.

What the fuck
>>
>>52516842
>>52516910
>>52516963
so basically it's the 20nm process with 16nm long FinFET gates, and calling it 16nm?

I just wish all these processes would be have meaningful bottom-line labels, like how many fucking 6T SRAM cells can you fit in a mm^2 or something.
>>
who needs smaller nm we need more cores
>>
File: df.jpg (63KB, 500x651px) Image search: [Google]
df.jpg
63KB, 500x651px
>>52517002
Intel has been using 300mm wafers since 2002, TMSC has plenty of fabs using 300nm. 450mm is supposed to come soon (~2020).

>>52517045
it is basically their 20nm process with FinFETs. I would assume node labels given are by Xnm because of tradition and to communicate that each new node meets a few metrics (~35% power reduction at the same frequency and area scaling) that used to come with pure physical scaling. Far easier to communicate improvements with a single number than trying to explain why it meets a whole pile of metrics to the average consumer.
>>
>>52517205
Nah, we just need to make nanometers bigger to make cores look smaller.
>>
>>52517220
>make nanometers bigger
you
I like you
>>
File: bDlN7Lm.png (78KB, 961x541px) Image search: [Google]
bDlN7Lm.png
78KB, 961x541px
>>52517045
>so basically it's the 20nm process with 16nm long FinFET gates, and calling it 16nm?

Yes, Samsung did the same thing actually, though they did squeeze some back end features to help give a considerable area scaling advantage over TSMC's process. Both TSMC and Samsung/GloFo are offering a transitional FinFET process. Going this route saved them some substantial expenditures in getting a whole new node online.
Bottom line however is that process names are complying with established ASML guidelines, so its not the fabs just pulling things out of their asses.
>>
>>52517210
> geometric mean feature size of ~70nm
> "16/14nm"

well, this explains how transistor density from 28nm to 14/16nm only doubled.
thanks, semiconductor industry
>>
>>52517377
> lying Dutch say it's OK for entire industry to lie as long as they all agree on terminology

the fuck
>>
>>52517420
That is the back end, its the metal stack that delivers power to the logic fabbed on the front end. It ultimately controls area scaling, but not necessarily front end feature size.

Your connected poly pitch could be 80nm on a given process where the gate length actually is 16nm. A process shouldn't ever be simplified to what equates to a marketing name anyway.
>>
>>52514891
>TSMC expects
TSMC expects to label 14nm as 5nm and fuck with consumers and investors.
>>
>>52517469
Who exactly is lying, anon?
Protip: no one is.
>>
>>52517489
>>52517508
smaller gate lengths don't get me closer to parallax occlusion mapped nipples in 8k, guys.
lower power draw is nice and all, but consumer grade ASICs can't get much bigger, so it's all about actual transistor density.
>>
>>52517608
Well unfortunately we still have to carry electrical signals, and you can't be willy nilly with that. Isolation and signal integrity is vital. The amount of time spent on designing the metal stack is substantial, and includes ridiculously complex metallurgy to ensure everything works as intended. Not many metallurgists work with isotopes, but in the semiconductor industry designing a BEOL you do.
>>
Moore almost correct!
>>
>>52514891
Impossible. That would require either graphene or EUV or both. It's all vaporware from here on out.
>>
>>52519060
>I don't know anything about the topic at hand: the post

Hilarious.
>>
Is there any hope to go bellow 5nm?
>>
>>52519220
I mean considering sizes between atoms and the strong nuclear force? (not the best in pyhsics)
>>
File: different-transistor-topologies.jpg (479KB, 1195x894px) Image search: [Google]
different-transistor-topologies.jpg
479KB, 1195x894px
>>52519220
Of course.
5nm is just where companies dealing with process IP have laid out a clear path to as of a couple years ago. Its not exactly some magical limit where area scaling ends, though totally uninformed posters here would have you believe otherwise.
>>
>>52519268
https://en.wikipedia.org/wiki/5_nanometer

>This transistor could be said to be a 180 picometer transistor, the Van der Waals radius of a phosphorus atom; though its covalent radius bound to silicon is likely smaller.[11] Making transistors smaller than this will require either using elements with smaller atomic radii, or using subatomic particles—like electrons or protons—as functional transistors.

Do you expect we could get to this point for commercial processors aimed at the consumer market?


Do you think we could actually engineer at subatomic level on a mass scale?
>>
>>52519220
Sure
Atoms are just little solar systems that spin very fast after all
>>
>>52514891
We can't even get reliable 14nm, expanding both of those out by 2-3 years each

Moore's law is dead

>>52515141
We'll get silicon photonics working before then
>>
>>52519389
The point where that would be necessary is well beyond this decade, and the field of quantum junctions is already being explored.
For economic reasons it wouldn't necessarily be advantages to pursue area scaling beyond a point, if you can increase transistor count without accelerating self heating through more conventional means then you're able to deliver products without a hitch.
>>
>>52515051
>IOT
Internet of Shit
>IC in every light fixture
It's an absolute kind of disgust.
>>
How long until we reach nanotechnology?
>>
>>52519465
> if you can increase transistor count without accelerating self heating through more conventional means
And how do you do that?

Increasing the size of the die would result in more heat right?

Isn't that all that it comes down to:
- die size
- fab size
(- number of new rules added by decreasing the fab size)

note that i am not an engineer
>>
>>52514891
>can't stop the progress train

technically you can't, but can you really call it progress if you're arbitrarily describing an asymptotic slowdown as linear or better progress.

> 7 nm
> 5 nm
> having anything to do with 7 or 5 nm feature sizes
tippity toppest kek, OP
>>
>>52516559
>no high performance version of a node, due to no FinFET yet or whatever reason = failure

this.....I want fast flagship cards and CPUs not chips to automate my toilet

Has anybody thought about how Optane might be a way for Intel to keep up with Moore's Law functionally without actually attaining transistor count requirements?

It seems like a real game-changer for compute intensive applications
>>
>>52519507
Current fab process is 14 nm on Skylake CPUs so we're already there.
>>
>>52519515
3D stacking logic dies with an inert thermally conductive fill material between them, or build vertically oriented structures from the getgo.

3D stacking and die stacking to create more specialized MCMs yields huge benefits for making production way more economical as well as extrapolating more performance.

>>52519526
So you're saying you actually know what TSMC's 7nm gate length will be? I'd love to hear it.
>>
>>52519532
optane is little more than flash over a DDR4-like interface.
you'll see more IOPS at low queue depths, but it'll still be substantially slower than SDRAM DIMMs, which are already dogshit slow from a processor's point of view.

2.5D and full 3D DRAM integration are better approaches to general computation speedup.
>>
>>52519577
Sounds good but wouldn't there be a communication overhead if you use many layers of transistors?

Or a sync problem like in dual gpu cards because as far as i understand what you are talking about is multiple processors, in a small, area that are glued together. Am I right?

> MCMs yields
what is that?
>>
>>52519577
as said elsewhere, gate length is becoming an increasingly worthless metric for describing semiconductor manufacturing.

in any case, the entire industry is already going along with naming each 2-3 year generation a 29% smaller number regardless of any bearing in reality:
>>52517377
>>
>>52519658
>Sounds good but wouldn't there be a communication overhead if you use many layers of transistors?
There can be, it depends on what parts you're integrating and how its done. If you segmented a dual core or a quad core processor then stacked the dies on top of one another you wouldn't want to have them all routed through the same TSVs. Though if you build a series of pillars each die in the stack can use their own without having any interfering signals. Something like a 4x4 grid of copper bumps. Core 1 the bottom layer uses the first row of 4 bumps, the second core uses the second row, and so on. Each core could handle cache coherency by sharing one bump.
Thats an extremely simplistic explanation, but a proven concept. Stacked DRAM such as HBM uses common bumps and the command processor in the stack figures out how to signal across all the slices, but thats not dealing with anything as complex as high performance logic.


>>52519670
Both posts you quoted were mine, good job.
I asked you a rhetorical question in jest because I know that you're clueless.
>>
>>52514891
Does someone know what the limiting factor is for performance on GPUs atm?
Is it the number of transistors? shader units? Can there be something said about this?
Let's say in games for example.
>>
>>52519787
Guess we will just have to wait and see what happens after we get the most out of silicone.

Hope it works out well (for my job security


and video games)


Thanks for your explanations!
>>
>>52519824
GPUs are massively parallel processors, and they'll continue to scale in performance the more ALUs you have. Its just a matter of efficiently delivering instruction to them, and providing ample bandwidth. They're far more complex beasts today with dedicated geometry processors, compute queues, scalar engines, and other added bits that certain APIs can make use of, but the bulk of their performance comes straight from their number crunching ability. Its one case where moar coars is always the answer.
>>
>>52519787
so you post the ASML graphic about feature size being decoupled from node name, then ask leading impossible questions (assuming no TSMC execs in /g/) when somebody else dares to say the industry is a bunch of lying kikes?

tiptop baiting, friend.
now fuck off and get back to work. semi manufacturers have been doing a shit job lately, and the industry doesn't need you dicking around on 4chins.
>>
File: Samsung_Exynos_7420_FinFET_Wide.jpg (200KB, 1192x700px) Image search: [Google]
Samsung_Exynos_7420_FinFET_Wide.jpg
200KB, 1192x700px
>>52519976
The M2 half pitch is a part of the BEOL, its not a front end feature. TSMC's 28nm node produced about a 33nm long gate because of their RMG approach. GloFo's offered 28nm process produced a 25nm gate. You can find die analysis photos done by chipworks of assorted 20nm bulk parts, as well as 14nm parts from intel, and 14nm parts from Samsung. Simple things like gate pitch and fin height are publicly available information, and its not hard to look at a photo and get really damn accurate measurements when you have a known reference.

Again, I asked you a rhetorical question because I know you're clueless.
The public marketing name of a process is not a strict technical measurement, but it is not necessarily wrong either. Your baseless assumption about the transistor profiles of future 7nm and 5nm nodes makes you a buffoon. I don't expect anyone here to know a single thing about process technology, but a moderately educated person would know not to make an ass of themselves on a topic they were totally foreign to.
>>
File: Screenshot-91.png (674KB, 3840x2160px) Image search: [Google]
Screenshot-91.png
674KB, 3840x2160px
>>52519891
Ok, do you know what this measure exactly means they seem to be using here on the y-axis:
HGEMM / W

Is it some sort of matrix multiplications per watt?
>>
>>52520164
Its Nvidia's way of showing compute performance per watt, they've just chosen a metric that makes them look best. Its fast matrix-matrix multiplication, but half precision. They've introduced FP16 hardware to lower power in certain ops.
So you hit the nail on the head.
>>
>>52520219
They showed that slide during the automated car thing didn't they?

FP16 hardware precision is going to be important for crunching sensor data isn't it?
>>
>>52520219
Thanks.

>>52520257
Yeah, it was that:
http://wccftech.com/nvidia-pascal-gpu-gtc-2015/
>>
>>52520257
They've showed similar graphs using that metric or another. I think they first started really pushing that at CES 2015 when they introduced their big carputer initiative. They were highlighting the half precision performance of a Tegra SoC, and they told everyone it had a 1TFLOP IGP, but hid in the footnotes that it was only when doing half precision. I do believe they've talked about possibly going down to quarter precision for some ops as well, thats their vision for "mixed" precision. It makes sense for pushing perf/watt, but from an advertising perspective I think its kind of scummy.

Thats like me telling an auditorium full of Olympic sprinters that I can run faster than a formula 1 car then leaving the room and whispering "from a dead stop and only while accelerating over a distance of 5 feet under ideal conditions."
>>
>>52520123
again, get back to work
>>
File: 8666836_orig.jpg (90KB, 691x525px) Image search: [Google]
8666836_orig.jpg
90KB, 691x525px
>>52517045
>I just wish all these processes would be have meaningful bottom-line labels, like how many fucking 6T SRAM cells can you fit in a mm^2 or something.

Even within a process node, SRAM cells can have different areas depending on need.
> Samsung 6T cells at 14nm:
> High Density cell (6T-111) : 0.064 µm2
> High Performance cell (6T-122) : 0.080 µm2

it all depends on what gates you want to switch faster at the cost of making gates longer, use two fins, etc.

people in the high performance network world are starting to sweat that SRAM shrinking won't be sufficient for 400Gb networking with on-die buffers, since the projected die sizes with needed buffer size and speed will be bigger than the reticle limit.

full 3D stacking or even interposers mean substantial price hikes.
>>
Work in a 200mm fab MFG. end thinking about going for an engineering degree and trying to be an equipment engineer anyone else work in one? what area and what job
>>
you know, you could have many times the performance benefits if you all would just stop using awful languages to write terrible code
>>
>>52521852
better start rewriting all muh games in history as free software with ultra perf then
>>
>>52514891
> TSMC expects to start production of 7nm chips in the first half of 2018
> TSMC "expects" to start "production" of "7"nm "chips" in the first half of 2018
ftfy.

given that Intel let 10nm (Cannonlake) slip to 2H'17, color me EXTREMELY skeptical on this one.

sub-10nm feature transistors may be physically possible, but manufacturing costs rise dramatically as soon as you start needing shit like EUV light sources, water-cooled dielectric mirrors, and triple/quadruple patterning.
fuck knows how they'll ever get x-ray lithography to work given the physical impossibility of even dielectric mirrors.

I can only imagine what non-shrink improvements they'll try to pass off as being "equivalent" to 7nm/5nm geometries.
>>
Quantum Tunneling?

I was at a conference by Intel and ASML about EUV and they simply stated < 7nm wasn't feasible / worth it because of quantum electron tunneling.
>>
>worthless extra cores

Passmark is where it at fám
>>
File: 1279330157602.png (232KB, 590x590px) Image search: [Google]
1279330157602.png
232KB, 590x590px
>trusting TSMC
Has the crowd really changed that much? Or has everyone conveniently forgotten the long trail of faecal matter?
>>
>5nm by 2020
Yes and fairies will fly out of my butt as I finally get my qt 3.14 trap harem on the moon
>>
>>52516664
don't give them ideas
how many fabs are there? about 20 big ones? I think they are guarded better than nuclear plants
i wouldn't be surprised if they got AA missiles on site - seriously.
>>
>>52515925
>it drives down cost
Not for intel
>>
ITT: People duped by pop-sci into thinking durrrr anything is possible, progress is inevitable

The fact is that progress is only inevitable when it is easy - now we are literally pushing die manufacturing technology to its physical limits. 14nm barely came out, and the challenges here on out are exponential.

A lot of people here are literally believing in magic bullets like graphene or quantum particles. If these things were so fantastically easy to get working, why didn't they try to manufacture 14nm with them considering what a disaster it has been to do it with silicon?
>>
>>52515051
>It WILL be a major area
>>
>>52521852
this topic is interesting to me
maybe even with current cpu+gpu computers can display any combination of pixels at 1920x1080@60 + any sounds, and photorealistic games are possible even today with enough programming skills
>>
>>52525283
>ignorance

I guess USA waking up.
>>
>>52525283
there's only the one usual semiconductor industry shill here trying to whisper sweet things in our ears, who then goes on to claim if you're not an insider too, any doubts about them are completely unfounded.

yes, improvements beyond 14/16nm will happen, but you'd have to be a fucking idiot to have unquestioning faith in the industry after the last 10 and especially last 5 years.
>>
>>52515270
Yeah. Also there was no reason to feel bad for not having top of the line shit because in 6-12 months, new ones would be out and have better performance for much lower price. Now CPU speeds have stagnated, and consumer level chips are stuck with 4 cores. 6-8 core CPUs cost a fortune. GPU market is a little better but it will be a long time until you can get something better than a GTX 970 for less than 400€.
>>
>>52526949
Sounds like you're butthurt for being called out on your stupidity, anon.
>>
>>52527001
you assume that only one person here doesn't trust him/you?
>>
>>52514891
How and why?

I have worked with small systems and find I get all manner of problems popping up around 15~12nm as other types of physics become more significant.

I know one can make adjustments to get smaller even down to 5nm, heck I have seen single atom systems technically work in labs I have visited. However it gets insanely complicated and I start to ask why, as countless other problems arise. More so as there are easier ways to get performance gains, like better software and more end application optimization.
>>
>>52526248
Progress does not come free, and is not always guaranteed. Why did 14nm get delayed, why do they spend tens of billions on R&D every year, or why are there people with triple PhD working 24/7? Because it takes I N S N A E amounts of trial and error to make new technology work. Some technology is even harder, like nuclear fusion. Boatloads of money and millions of man hours but no success.
>>
>>52527139
watch out, you'll summon hyper-defensive ITER faggots if you're not careful
>>
>>52519499
>Internet of Shit
CEOs and business people love it

it *will* be big whether you like it or not
>>
>>52527158
I'm sure certain sectors will push for it hard as a means to sell people replacements for all their shit, but I think customer inertia is stronger than you give it credit for, especially is a very weak global economy.

also,
> wanting to let russian mobs and the chinese hack not just your PC and smartphone but your car, vacuum cleaner, and electronic dragon dildo collection
>>
>>52527158
Yeah, will be fun when even milk cartons are botnet. Need more ways to spy on people so they can sell your secrets to advertisers :^)
>>
>>52527121
Every seemingly insurmountable issue is nothing but a matter of man hours applied to a solution. Like most any other industry with every step you take forward you end up learning something new and refining your grasp on already known concepts. The idea for FinFETs has been around since before 2000, over 16 years ago now, and when it was first envisioned it was based on SOI. We're fabbing FinFET devices now on bulk silicon, strategically employing SiGe, utilizing fully depleted channels, and a whole host of other things to increase performance while keeping costs down relatively. We can effectively lock electrons inside of the channel ensuring they don't tunnel outwards, what some people call a quantum well FET has all the properties displayed in a modern FinFET now.

You've got to keep putting one foot in front of the other, keep investing in R&D. In a few years these current 14/16nm FinFET nodes will be commodity, every cheap ARM SoC from companies like Rochchip and Allwinner will be FinFET. Thats the benefit of pursuing progress. What was once a tremendous feat will become mundane.

>>52527148
Hes right.
The billions of dollars and billions of man hours shouldn't be taken for granted, and timely technological progression is never a guarantee. TSMC could just as soon incur massive debt and dissolve in 5 years time. I tend towards optimism because on the bigger picture someone will always find a way forward. Delays may abound but so long as there is profit to be made there are engineers willing to work.
>>
>>52527237
>company designs a printed circuit with a sensor array on it
>one of the sensors detects certain gases
>can be used to monitor bacterial growth in spoiling food by measuring the byproducts
>because super specialized products have less appeal, they're also useful for inventory tracking
>companies put these in milk cartons to better track inventory
>a small gyro and accelerometer are used to ensure all the cartons remain right sight up, aren't abused or ruptured in transport
>can sync with "smart devices" to show consumers how close to spoiled a food product is

>gyro and accel data can be used to track distance traveled, chip's unique ID is read like a beacon anytime it syncs to something
>anyone with malicious intent now has a viable vector for tracking you with a carton of milk

The NSA probably loves this shit. I guarantee someone in DARPA is working on this too.
>>
>>52527139
>>52527148
http://focusfusion.org/index.php/site/reframe/wasteful/

I'm actually not surprised that it's taken so long when the funding was absolute shit for fusion.
>>
> bickering between pessimists and optimist, The Thread.

yes, chip makers have a lot of resources and a generally great track record, but sometimes physical limits really do come into play for an industrial sector.

we're not driving 200 mpg cars, flying to Paris on Mach 4 jets, or taking a daily commute to our job in GEO on a Saturn V for real reasons, and there may (or may not) be similar impending but unforeseen limits with chip fabbing despite the huge pool of talent and money.
>>
>>52527420
please name another enterprise that has gotten half a billion a year for 50+ years and not produced substantial progress?

We've known since forever that magnetically contained quasineutral plasma fusion simply can't break even without using highly neutronic reactions, so we're just trying to blanket tokamak cores with Li layers for breeding or whatever.

Are plasma physicists simply unable to give any meaningful progress without blowing their entire budgets on magnets or something?
If fusion is at all practically possible, I expect the Chinese to pull it off by simply being able to iterate designs quickly and more cheaply than western scientists.
>>
>>52527237
What always shocks me is the narrow worldview of engineers. Some software or hardware engineer sitting in an office, helping to design a spying tool never thinks that, "hm, maybe I'll be victim to this myself once it becomes commonplace."

The lack of self-awareness and wide horizons in engineers is depressing.
>>
>>52527553
If you don't design your own guillotine someone else will do it for you.
>>
>>52527547
I don't know.

Maybe it's actually hard and needs lots of time. Only the time for planning, building and testing ITER is decades. That's fucking ridiculous. I still can't believe how slow this shit is.
Apparently it's viable trying to achieve it so I cannot see how throwing much more money at this doesn't seem like a good idea since it could really solve the fucking energy problem.
Maybe money is the problem why this shit is so slow, but I'm not claiming to know what's going on.
Just seems like very little money compared to how important it is.
>>
>>52527577
I don't know if that syncs with the actual attitudes of engineers. I'm not an engineer, but I am a junior software dev. All my friends are engineers, though. The lack of holistic thinking and the dearth of self-awareness they regularly demonstrate is hard to miss.

They're not stupid people, either. It's either a minor sort of idiot-savantism, where all their intelligences are concentrated within a single cluster of abilities, or just willful ignorance. Most people are like that, really.

Perhaps it stands out to me because my friends often behave as if they're undupable.
>>
>>52527283
No, refining your grasp on already known concepts and learning something new are very different.

I think you are taking about how we often get great branching developments from other aggressive R&D. Like how post-it notes came from advanced super glue R&D, or Teflon frying pans came from advanced refrigeration fluid R&D.

That is a great argument for why we need to push for new things and do research, but new things may or may not include smaller transistors in CPUs. So assuming it is included seems a bit premature, more so given our current understanding of physics.
>>
>>52525582
Please elaborate?
>>
All I know is my personal experience with CPUs has led me to believe that we have nearly reached the physical limitations of silicon.

>be me
>get p4 with hyper threading at 3.4GHz in 2004
>read about frequency limits being reached. see them cap out at about 4GHz
>read about multiple cores
>oh cool I wait until 4 core is cheap and upgrade
>single cores are cheap, dual cores are normal, quad cores are expensive
>wait until core 2 duo comes out.
>single cores are cheap, dual cores are normal, quad cores are expensive
>core 2 not much better than regular core
>get 2 core pentium d and overclock the shit out of it
>wait until skylake
>oh man I can't wait to see the improvements when I buy a new desk top
>single cores cheap, dual cores normal, dual core hyperthreading expensive, quad cores super expensive, more cores are jesus christ and only on xeons, can't overclock
>motherfucker. I'll just save money and get a core 2 quad core
>nope they're still high because they perform just as well as skylake, but good motherboards are super expensive.
>figure I'm going to settle for a 2 core skylake and try to take advantage of pci passthrough and super cheap RAM to runs VMs.

It really feels like I had better options years ago than I do now.

WHERE THE FUCK ARE MY 64 CORE PROCESSORS?
>>
>>52528411
Knights Landing has 72. Admittedly they're Airmont Atom cores and thus shit, but if you need moar coars there you go.
>>
>>52528411
AND QUIT WASTING DIE SPACE ON INTEGRATED GRAPHICS

What ever happened to putting a shitty integrated graphics chip on the motherboard just so you could rule out the video card on debugging a failure to POST? I just don't get it.
>>
>>52528481
Its because intel's desktop chips are simply mobile chips that failed the binning process for laptops.

They make 2 classes of CPU at this point: Mobile chips, and Server chips. Anything else is binned from one of those two classes of chip, most often the former.
>>
>>52528478
>Knights Landing
Well from what I can google they cost about $2000. Really what I want it a 6 core core 2 duo with hyperthreading for $120 and a 64 core 2 duo at $500. Instead we've got onboard ddr controllers, on board gpus, and locked multipliers.
>>
>>52528257
just what i said, maybe modern or even 2000s computers can privode far more realistic gaming graphics (that is graphics that can change depending on input), and it is software that limits graphics (polygonal 3d graphics, devs not wanting to program in assembly or even directly in 1&0)
>>
>>52528548
What test did their mobile chips fail to be classed as desktop processors?
>>
>>52528557
Well then you're fucked buddy. 12 threads at the cheapest can only be had with LGA 2011 or LGA 1366 chips, and those aint cheap for various reasons.

If you want cores on the cheap, its either AMD or low tier intel server chips, and even then not at the prices you want.

Besides, the onboard memory controller actually helps a great deal vs running everything over FSB as was done with pre-Nehalem chips.


>>52528596
use too much power at the specified clocks and voltages for mobile. On desktop power consumption or heat output isnt as tightly constrained as it needs to be on mobile.
>>
>>52516941
Bond lengths are on the order of 100s of pm.
>>
File: nikon-d300-lg.jpg (9KB, 300x276px) Image search: [Google]
nikon-d300-lg.jpg
9KB, 300x276px
>>52528257
Not the same Anon, but the way software and hardware work is very poor. The old do everything, but nothing well problem. Also related is investment costs and compatibility issues.

It is like we built a really good car. Then wanted more hauling ability. So we added a roof rack that completely ruined our aerodynamics and stretched the trunk out to get more room, but we didn't rebalanced the frame so crash safety and handling suffered. When what we really should have done is build a truck, rather than mutilate the car.

This is why some old video game consoles could do such amazing feats considering their sad specs, they were build to play video games. Sure they borrowed a lot from PC development and were technically computers, but they were application optimized.

As software is a good part of a computer it has a big impact, more so given how little we have really improved it over time.

A good example is the raspberry pi. Hardware it is a no powerhouse, but the software is so refined it allows it to actually do things that are very impressive all things considered.

You are seeing this coming back with embedded markets as it is simple way to get significant gains, often at the expense of flexibility and compatibility. Give them long enough and I joke you will see dedicate picture taking devices become a new market.
>>
File: super-mario-64-face-off.jpg (79KB, 640x240px) Image search: [Google]
super-mario-64-face-off.jpg
79KB, 640x240px
>>52528564
It's also a matter of better tools and experience in generating content.

This picture isn't really the best example, but it's commonly believed that the DS version of Super Mario 64 has a higher polygon count than the N64 version due to the characters looking more geometrically complex.

That isn't actually true: the N64 version has characters that use more polygons. It's just that the 3D modelling tools in 1996 weren't very refined and the teams weren't very experienced in using them as compared to 2004.
>>
>>52517210
>Far easier to communicate improvements with a single number than trying to explain why it meets a whole pile of metrics to the average consumer.

Average consumer can't even tell what node their CPU/GPU/smartphone SoC is made on, let alone why that would matter. Shit, they most likely can't even tell what their CPU is without looking it up, except maybe that it's an i5 made by intel.
>>
>>52528633
>Well then you're fucked buddy.

Yeah I've noticed. I'm just saying that as a consumer I have my eye on performance per price when it comes to buying silicon and that ratio hasn't increased much in the last 10 years.

So do they use the same sockets for the desktop as the mobile processors? Or are they testing them before choosing which package to put the chip in? I have no idea how mobile processors are packaged. I haven't opened a notebook in 8 years.
>>
>>52528711
Yeah it's a matter of horsepower fighting bloat and unskilled coders.
>>
>>52528737
The latter. They test the chips before they cut them free of the wafer, and the ones that fail mobile bins but are otherwise functional end up in the desktop chip package.


Also, in buying chips, you also have to take into account per-core performance has also jumped by an enormous amount vs Netburst.

I cant suggest anything for a modern setup, partially from not having purchased anything recently made, and partially from having ownership of an 8c/16t 2.9/3.3ghz Xeon that i got as a freebie.
>>
>>52528711
fun fact
>>
>5nm
that feel when still on 65nm
>>
>2016
>GPUs still on 28nm
Polaris and Pascal please hurry up.
>>
I do wonder when it'll make sense to upgrade my i7-3770K.
>>
>>52528889
Yeah the step up from netburst to core was big. Core 2 was a little bit better. i3-i7 is not much. It seems like we just keep on taking smaller steps like we're getting closer to a wall.
>>
>>52528411
>dual core hyperthreading expensive
$120 is expensive?
>>
To all of those, who want to understand the whole subject a bit more: https://www.youtube.com/watch?v=NGFhc8R_uO4
>>
>>52529003
Nehalem significantly (like 50%) improved multithreaded performance over Core 2, single threaded performance was only slightly improved (10%).

So there was a pretty notable improvement. Of course Netburst was garbage so the transition to Core was massive.
>>
>>52528971
Maybe Zen? I'm on the 3570k myself on a fiery 4.4GHz with no reason to upgrade except craving more cores when editing and rendering. Maybe running a few more VMs would be cool.
>>
>>52529631
I doubt Zen will beat it at single-threaded performance. Might as well hold on till 2020.
>>
>>52529406
So you're saying nehalem cores worked together better? I didn't know that. So a 2 threaded program would run in (1/1.5)*(1/1.1) = 60.61% amount of time on nehalem versus core 2? While a single threaded program would run in 90.91% of the time required on core 2? All of that is assuming the same clock frequency right?

Well if that's true I won't feel so ripped off buying a skylake. I need to relearn assembly. I learned some of it in a microprocessors course but they didn't teach anything but basic instructions and I never used it for anything so I forgot it. I've never written anything that used more than one thread.

Out of curiosity how do the generations stack up when it comes to floating point and int32/64 multiplication?
>>
>>52529710
Nehalem cores worked better because instead of being a pair of cores coupled together through L2 cache, and a pair of CPU dies both fighting each other for FSB resources, all 4 nehalem cores have dedicated and smaller (thus lower latency and faster) L2 caches, w/ a nice big fat block of L3 that also contains the contents of of ALL of the higher tier caches. Communicating through an internal bus and the L3 cache is far far faster vs bouncing data between 2 sets of cores while trying to keep their fuckhuge L2 caches coherent.

Then there's hyperthreading. Some highly threaded programs make good use of it even though it doesnt provide a doubling in performance vs 4 threads.


As for float performance, its one of the things that has consistently jumped by large gains each generation, but thats more in part due to the FPU being made wider every generation. I also may be wrong about this, but I'm pretty sure an AVX512 capable FPU can do multiple smaller float operations simultaneously.

Integer hasnt gotten quite as powerful, but theres still gains to be had. I'd have to look up articles detailing the differences.
>>
>>52529677
I'm betting for below Haswell, above Ivy. I'm really hoping for it. If not, Broadwell-E for sure.
>>
>>52529934
Is it possible to buy just a plain FPUs or ALUs? The only stuff I can find is small integer adders. I'd like to save space in an FPGA by using one instead of some kind of shift and accumulate clusterfuck.
>>
That's fucking stupid. At 5nm the CPU will short circuit due to quantum mechanics.
>>
>>52530461
Cute, go tell every in the industry that.
>>
File: xilinx_dsp_slice.png (71KB, 897x1125px) Image search: [Google]
xilinx_dsp_slice.png
71KB, 897x1125px
>>52530354
FPGAs already have tons of fixed blocks for common purposes.

even garbage-tier student kits will have a few dozen of these blocks, and the highest end kits targeted DSP uses have upwards of ten thousand.

> http://www.xilinx.com/support/documentation/user_guides/ug479_7Series_DSP48E1.pdf
>>
>>52529710
>>52529934
They also had 6-cores whereas the core2 gen just had that weird socket 603 xeon thing that doesn't really count.
>>
>>52530538
Does Altera have those too?

Picking a FPGA seems difficult. I downloaded a couple spreadsheets of FPGA models and calculated how many logic blocks I get per dollar and found Cyclone V E with 18480
logic blocks gave the best blocks per dollar at 374 LABs/$. But then there's things like dsp blocks which depending on the block can replace many more generic blocks. I also want to use the FPGA to mine bitcoins when I'm not tinkering. Any tips on picking the right one?
>>
File: garbage_tier_altera.png (269KB, 1157x2095px) Image search: [Google]
garbage_tier_altera.png
269KB, 1157x2095px
>>52530736
yes.

I haven't even heard of an FPGA made in the last 20 years that didn't have at least a little mult/add acceleration.

pic related is literally the oldest shit still actively sold by Altera, about 9 years old...
>>
>>52530599
Ahhh, Dunnington. Something that should have hit the mainstream socket.
Thread posts: 152
Thread images: 15


[Boards: 3 / a / aco / adv / an / asp / b / bant / biz / c / can / cgl / ck / cm / co / cock / d / diy / e / fa / fap / fit / fitlit / g / gd / gif / h / hc / his / hm / hr / i / ic / int / jp / k / lgbt / lit / m / mlp / mlpol / mo / mtv / mu / n / news / o / out / outsoc / p / po / pol / qa / qst / r / r9k / s / s4s / sci / soc / sp / spa / t / tg / toy / trash / trv / tv / u / v / vg / vint / vip / vp / vr / w / wg / wsg / wsr / x / y] [Search | Top | Home]

I'm aware that Imgur.com will stop allowing adult images since 15th of May. I'm taking actions to backup as much data as possible.
Read more on this topic here - https://archived.moe/talk/thread/1694/


If you need a post removed click on it's [Report] button and follow the instruction.
DMCA Content Takedown via dmca.com
All images are hosted on imgur.com.
If you like this website please support us by donating with Bitcoins at 16mKtbZiwW52BLkibtCr8jUg2KVUMTxVQ5
All trademarks and copyrights on this page are owned by their respective parties.
Images uploaded are the responsibility of the Poster. Comments are owned by the Poster.
This is a 4chan archive - all of the content originated from that site.
This means that RandomArchive shows their content, archived.
If you need information for a Poster - contact them.